systemverilog

Screenshot Software:
systemverilog
Szczegóły programowe:
Wersja: 1.3
Filmu: 2 Jun 15
Wywoływacz: Aditya Kher
Licencja: Wolny
Popularność: 25

Rating: 4.3/5 (Total Votes: 3)

SystemVerilog jest Vim plugin, który oferuje podświetlanie składni dla SystemVerilog.
IEEE 1800 jest pierwszym SystemVerilog jednolity opis sprzętu i weryfikacja język (HDVL) standardem w branży. SystemVerilog jest głównym rozszerzenie Verilog siedzibę IEEE 1364 języka.
O Vima:
Vim jest edytorem tekstu wydany przez Brama Moolenaara w 1991 roku dla komputera Amiga. Vim został stworzony jako rozszerzoną wersję edytora vi, z wielu dodatkowych funkcji, które być pomocne w edycji kodu źródłowego programu; jego pełna nazwa to Vi poprawie.
Podczas Vim jest cross-platform, jest najbardziej popularny na uniksowych systemów operacyjnych.
Wydany na podstawie licencji oprogramowania zgodnego z GNU General Public License, Vim jest bezpłatne i otwarte oprogramowanie. Licencja na program zawiera kilka klauzul Charityware.
Jak vi, interfejs Vima nie opiera się na menu lub ikony, ale na poleceń wydanych w interfejsie użytkownika tekstu; jego tryb GUI, gVim, dodaje menu i paski narzędzi do najczęściej używanych poleceń, ale wciąż jest pełna funkcjonalność wyrażone poprzez trybie wiersza poleceń.
Dla wielu użytkowników uczenia Vima może być trudny i powolny początkowo, ale gdy podstawy są zrozumiałe, ponieważ postęp szybko i edycja staje bardziej wydajne. Aby to ułatwić, Vim posiada wbudowany samouczek dla początkujących. Istnieje również Instrukcja użytkowników Vima ", że szczegóły na podstawowe i bardziej zaawansowane funkcje Vima. Podręcznik ten można czytać od wewnątrz vim, lub znaleźć w Internecie.
Vim posiada również wbudowany usługi pomocy (za pomocą polecenia: help), który pozwala użytkownikom wyszukiwać i nawigować poleceń i funkcji.
INSTALACJA:
Krok 1: Usuń plik w ~ / .vim / syntax (jeśli katalog nie istnieje, utwórz go) Uwaga: W przypadku korzystania z vim 7.0 lub lepiej, można pozyskać SV.vba do automatycznej instalacji to niezależnie od tego, jaki smak obsługi System używasz Vima!
Krok 2: Dołącz po linii w ~ / .vim / filetype.vim
jeśli istnieje ("did_load_filetypes")
          wykończenie
        endif
        augroup filetypedetect
          au! BufRead, BufNewFile * .sv setfiletype SV
          au! BufRead, BufNewFile * .svi setfiletype SV
        augroup END

Wymagania :

  • Vim
  • Vimball

Podobne oprogramowanie

pac
pac

2 Jun 15

Source Explorer
Source Explorer

2 Jun 15

bensday
bensday

3 Jun 15

Inne programy z deweloperem Aditya Kher

upf
upf

3 Jun 15

Komentarze do systemverilog

Komentarze nie znaleziono
Dodaj komentarz
Włącz zdjęć!